Наукова електронна бібліотека
періодичних видань НАН України

Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов

Репозиторій DSpace/Manakin

Показати простий запис статті

dc.contributor.author Бибило, П.Н.
dc.contributor.author Соловьев, А.Л.
dc.date.accessioned 2015-06-20T10:51:44Z
dc.date.available 2015-06-20T10:51:44Z
dc.date.issued 2014
dc.identifier.citation Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов / П.Н. Бибило, А.Л. Соловьев // Управляющие системы и машины. — 2014. — № 6. — С. 34-41. — Бібліогр.: 8 назв. — рос. uk_UA
dc.identifier.issn 0130-5395
dc.identifier.uri http://dspace.nbuv.gov.ua/handle/123456789/83537
dc.description.abstract Предложены VHDL-модели библиотечных КМОП-элементов, позволяющие уменьшить погрешности оценок энергопотребления комбинационных схем из таких элементов и значительно сократить время получения оценок путем использования быстрого логического моделирования структурного описания схем. uk_UA
dc.description.abstract VHDL models of CMOS library components are suggested. The models allow decreasing estimated inaccuracy of power consumption of the circuits built on such components and significant reducing the estimation time at the expense of using the fast logical modeling of structural descriptions of the circuits. uk_UA
dc.description.abstract Запропоновано VHDL-моделі бібліотечних КМОП-елементів, які дозволяють зменшити похибки оцінок енергоспоживання комбінаційних схем з таких елементів та значно скорочують час отримання оцінок шляхом використання швидкого логічного моделювання структурного опису схем. uk_UA
dc.language.iso ru uk_UA
dc.publisher Міжнародний науково-навчальний центр інформаційних технологій і систем НАН та МОН України uk_UA
dc.relation.ispartof Управляющие системы и машины
dc.subject Технические средства информатики uk_UA
dc.title Оценка энергопотребления комбинационных КМОП-схем на основе логического моделирования с учетом временных задержек элементов uk_UA
dc.title.alternative An Estimation of Power Consumption of Combinational CMOS Circuits on the Base of Logical Simulation Taking Into Consideration the Element Time Delay uk_UA
dc.title.alternative Оцінка енергоспоживання комбінаційних КМОП-схем на основі логічного моделювання з урахуванням часових затримок елементів uk_UA
dc.type Article uk_UA
dc.status published earlier uk_UA
dc.identifier.udc 621.382


Файли у цій статті

Ця стаття з'являється у наступних колекціях

Показати простий запис статті

Пошук


Розширений пошук

Перегляд

Мій обліковий запис